Jumat, 29 Oktober 2010

Contoh Pragram VHDL Pada D Flip Flop

Salah satu contoh program vhdl dari sebuah D flip-flop edge triggered positif dengan asynchronous Reset adalah sebagai berikut:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
     port (CLK, RESET, D : in std_logic;
           Q : out std_logic);
end DFF_RST;


architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
     begin
           if (RESET = ‘1’) then
                Q <= ‘0’;
           elsif (CLK’event and CLK = ‘1’) then
                Q <= D;
           end if;
     end process;
end BEHAV_DFF;





D Flip Flop

Macam-macam FPGA Library

Library : berisi semua library yang digunakan pada design.

contoh : ieee, std, work, dll.


1.  Library IEEE:    
        use IEEE.std_logic_1164.all;
        use IEEE.std_logic_textio.all;
        use IEEE.std_logic_arith.all;
        use IEEE.numeric_bit.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_signed.all;
        use IEEE.std_logic_unsigned.all;
        use IEEE.math_real.all;
        use IEEE.math_complex.all;


2.  Library STD :
      use STD.standard.all;
      use STD.textio.all;


3.  Library WORK :
      Ialah sebuah pendeklarasian yang implisit, dimana semua source codenya akan dimasukkan ke library tersebut. use WORK.data_types.all;    


Contoh :


use WORK.data_types.all;    


Source : http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

Minggu, 03 Oktober 2010

Kedudukan bahasa indonesia

Awal mula bahasa Indonesia
Asal mula bahasa Indonesia adalah bahasa melayu, yang terbentuk dari bahasa Arab, Persia, Sansekerta dan bahasa-bahasa Eropa. Bahas melayu sejak zaman kerajaan Sriwijaya pada abad ke-tujuh telah di gunakan sebagai bahasa perdagangan dan perluasan agama budha di Nusantara. Lalu bahasa Melayu pun bsemakin berkembang dan meluas di seluruh Nusantara. Pada awal zaman kolonial bahasa Melayu bukan saja sangat bagus namanya, tetapi juga merupakan bahasa negeri Timur yang di hormati. Pada zaman pergerakan nasional bahas Indonesia lahir pertama kali. Pada waktu itu para tokoh pergerakan mencari bahasa yang dapat dipahami dan dapat dipakai oleh segenap lapisan suku bangsa yang ada. Perkembangan bahasa di Melayu di wilayah nusantaramempengaruhi dan mendorong tumbuhnnya rasa persaudaraan dan persatuan bangsa Indonesia. Pada 1918 dari hasil pemikiran para tokoh pergeakan dan dewan rakyat, akhirnya dipilih bahasa Melayu dengan pertimbangan bahwa bahasa telah dipakai hampir sebagian rakyat Indonesia waktu itu. Komunikasi antar perkumpulan yang bangkit pada masa itu menggunakan bahasa Melayu. Dan pada tanggal 28 Oktober 1928, para pemuda dari berbagai pelosok Nusantara berkumpul dalam Kerapatan Pemuda dan beikrar, yang kemudian dikenal dengan Sumpah Pemuda.
Pada Proklamasi kemerdekaan republik Indonesia, 17 Agustus 1945, telah mengukuhkan kedudukan dan fungsi bahasa Indonesia secara konstitusional sebagai bahasa negara. Dinyatakan kedudukannya sebagai bahasa negara pada tanggal 18 Agustus 1945 karena pada saat itu Undang-Undang Dasar 1945 disahkan sebagai Undang-Undang Dasar Negara Republik Indonesia. Dalam Undang-Undang Dasar 1945 disebutkan bahwa Bahasa negara ialah bahasa Indonesia.

Jumat, 01 Oktober 2010

Fungsi Bahasa

Pengertian Bahasa
Dalam bukunya, Gorys Keraf mengatakan bahwa, Bahasa adalah alat komunikasi antara anggota masyarakat berupa simbol bunyi yang dihasilkan oleh alat ucap manusia. Mungkin ada yang keberatan dengan mengatakan bahwa bahasa bukan satu-satunya alat untuk mengadakan komunikasi. Mereka menunjukkan bahwa dua orang atau pihak yang mengadakan komunikasi dengan mempergunakan cara-cara tertentu yang telah disepakati bersama. Lukisan-lukisan, asap api, bunyi gendang atau tong-tong dan sebagainya. Tetapi mereka itu harus mengakui pula bahwa bila dibandingkan dengan bahasa, semua alat komunikasi tadi mengandung banyak segi yang lemah. Bahasa memberikan kemungkinan yang jauh lebih luas dan kompleks daripada yang dapat diperoleh dengan mempergunakan media tadi. Bahasa haruslah merupakan bunyi yang dihasilkan oleh alat ucap manusia. Bukannya sembarang bunyi. Dan bunyi itu sendiri haruslah merupakan simbol atau perlambang.
Fungsi Bahasa
Dalam berkomunikasi sehari-hari, salah satu alat yang paling sering digunakan adalah bahasa, baik bahasa lisan maupun bahasa tulis. Saking dekatnya kita kepada bahasa, terutama bahasa Indonesia, sehingga tidak dirasa perlu untuk mendalami dan mempelajari bahasa Indonesia secara lebih jauh. Pada akhirnya, sebagai pemakai bahasa, orang Indonesia tidak terampil menggunakan bahasa. Suatu kelemahan yang tidak disadari. Komunikasi lisan atau nonstandar yang sangat praktis menyebabkan kita tidak teliti berbahasa. Akibatnya, kita mengalami kesulitan pada saat akan menggunakan bahasa tulis atau bahasa yang lebih standar dan teratur. Pada saat dituntut untuk berbahasa’ bagi kepentingan yang lebih terarah